This page (revision-5) was last changed on 03-Feb-2023 15:21 by Carsten Strotmann 

This page was created on 17-Feb-2022 19:53 by Carsten Strotmann

Only authorized users are allowed to rename pages.

Only authorized users are allowed to delete pages.

Page revision history

Version Date Modified Size Author Changes ... Change note
5 03-Feb-2023 15:21 5 KB Carsten Strotmann to previous
4 17-Feb-2022 20:02 5 KB Carsten Strotmann to previous | to last
3 17-Feb-2022 20:01 5 KB Carsten Strotmann to previous | to last
2 17-Feb-2022 20:01 5 KB Carsten Strotmann to previous | to last
1 17-Feb-2022 19:53 5 KB Carsten Strotmann to last

Page References

Incoming links Outgoing links

Version management

Difference between version and

At line 1 added 3 lines
;; This buffer is for text that is not saved, and for Lisp evaluation.
;; To create a file, visit it with C-x C-f and enter text in its buffer.
At line 31 changed 3 lines
* $D5A0: primary bank register low byte (0-255, default: 0)
* $D5A1: primary bank register high byte (0-63, default: 0)
* $D5A2: primary bank enable (1=enable, 0=disable, default: 1)
* $D5A0: primary bank register low byte (0-255, default: 0)
* $D5A1: primary bank register high byte (0-63, default: 0)
* $D5A2: primary bank enable (1=enable, 0=disable, default: 1)
At line 35 changed 3 lines
* $D5A3: secondary bank register low byte (0-255, default: 0)
* $D5A4: secondary bank register high byte (0-63, default: 0)
* $D5A5: secondary bank enable (1=enable, 0=disable, default: 0)
* $D5A3: secondary bank register low byte (0-255, default: 0)
* $D5A4: secondary bank register high byte (0-63, default: 0)
* $D5A5: secondary bank enable (1=enable, 0=disable, default: 0)
At line 39 changed one line
* $D5A6: cart mode select (see section on cartridge modes, default: 1 / 8k)
* $D5A6: cart mode select (see section on cartridge modes, default: 1 / 8k)
At line 41 changed 5 lines
* $D5A7: flash/ram selection and write enable control (0-15, default: 0)
- bit 0: primary bank write enable (0 = write protect, 1 = write enable)
- bit 1: primary bank source (0 = flash, 1 = RAM)
- bit 2: secondary bank write enable (0 = write protect, 1 = write enable)
- bit 3: secondary bank source (0 = flash, 1 = RAM)
* $D5A7: flash/ram selection and write enable control (0-15, default: 0)
** bit 0: primary bank write enable (0 = write protect, 1 = write enable)
** bit 1: primary bank source (0 = flash, 1 = RAM)
** bit 2: secondary bank write enable (0 = write protect, 1 = write enable)
** bit 3: secondary bank source (0 = flash, 1 = RAM)
At line 47 changed 4 lines
* $D5A8: SPI interface to EEPROM
- bit 0: SPI CLK
- bit 1: SPI CS
- bit 7: SPI data in (on reads), SPI data out (on writes)
* $D5A8: SPI interface to EEPROM
** bit 0: SPI CLK
** bit 1: SPI CS
** bit 7: SPI data in (on reads), SPI data out (on writes)
At line 57 changed 35 lines
- $00: off, cartridge disabled
- $01: 8k banks at $A000
- $02: AtariMax 1MBit / 128k
- $03: Atarimax 8MBit / 1MB
- $04: OSS M091
- $08: SDX 64k cart, $D5Ex banking
- $09: Diamond GOS 64k cart, $D5Dx banking
- $0A: Express 64k cart, $D57x banking
- $0C: Atrax 128k cart
- $0D: Williams 64k cart
- $20: flexi mode (separate 8k banks at $A000 and $8000)
- $21: standard 16k cart at $8000-$BFFF
- $22: MegaMax 16k mode (up to 2MB), AtariMax 8Mbit banking
- $23: Blizzard 16k
- $24: Sic!!!Cart 512k
- $28: 16k Mega cart
- $29: 32k Mega cart
- $2A: 64k Mega cart
- $2B: 128k Mega cart
- $2C: 256k Mega cart
- $2D: 512k Mega cart
- $2E: 1024k Mega cart
- $2F: 2048k Mega cart
- $30: 32k XEGS cart
- $31: 64k XEGS cart
- $32: 128k XEGS cart
- $33: 256k XEGS cart
- $34: 512k XEGS cart
- $35: 1024k XEGS cart
- $38: 32k SWXEGS cart
- $39: 64k SWXEGS cart
- $3A: 128k SWXEGS cart
- $3B: 256k SWXEGS cart
- $3C: 512k SWXEGS cart
- $3D: 1024k SWXEGS cart
* $00: off, cartridge disabled
* $01: 8k banks at $A000
* $02: AtariMax 1MBit / 128k
* $03: Atarimax 8MBit / 1MB
* $04: OSS M091
* $08: SDX 64k cart, $D5Ex banking
* $09: Diamond GOS 64k cart, $D5Dx banking
* $0A: Express 64k cart, $D57x banking
* $0C: Atrax 128k cart
* $0D: Williams 64k cart
* $20: flexi mode (separate 8k banks at $A000 and $8000)
* $21: standard 16k cart at $8000-$BFFF
* $22: MegaMax 16k mode (up to 2MB), AtariMax 8Mbit banking
* $23: Blizzard 16k
* $24: Sic!!!Cart 512k
* $28: 16k Mega cart
* $29: 32k Mega cart
* $2A: 64k Mega cart
* $2B: 128k Mega cart
* $2C: 256k Mega cart
* $2D: 512k Mega cart
* $2E: 1024k Mega cart
* $2F: 2048k Mega cart
* $30: 32k XEGS cart
* $31: 64k XEGS cart
* $32: 128k XEGS cart
* $33: 256k XEGS cart
* $34: 512k XEGS cart
* $35: 1024k XEGS cart
* $38: 32k SWXEGS cart
* $39: 64k SWXEGS cart
* $3A: 128k SWXEGS cart
* $3B: 256k SWXEGS cart
* $3C: 512k SWXEGS cart
* $3D: 1024k SWXEGS cart